summaryrefslogtreecommitdiff
path: root/program/templatetags/timeslots.py
blob: 08e45b1eb1b4e9acc5b8903cbc129e89d203f2ab (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
from django import template

from datetime import datetime, time, timedelta

register = template.Library()


@register.simple_tag
def duration(start, end):
    return 'style="height: %dpx"' % ((end-start).seconds/60)


@register.simple_tag
def duration_until(end):
    start = datetime.combine(end.date(), time(6, 0))
    return 'style="height: %dpx"' % ((end-start).seconds/60)


@register.simple_tag
def duration_since(start):
    if start.time() < time(23, 59):
        end = datetime.combine(start.date()+timedelta(days=1), time(6, 0))
    else:
        end = datetime.combine(start.date(), time(6, 0))
    return 'style="height: %dpx"' % ((end-start).seconds/60)